当前位置:首页银河百科 │ 滑动平均

滑动平均

  • 浏览次数:11609次
  • 发布时间:2013/9/18 12:31:39
  • 作者:cw_yinhe

一、滑动平均的基本概念

  滑动平均是取适当区间上的不稳定的数据作局部平均,通过这样沿全长N个数据逐一区间上进行不断地局部平均,从而得到较平滑的稳定的测量结果。

二、滑动平均在工业现场测试中的应用

  工业现场各种测试系统采集到的数据难免含有各种干扰信号,这些干扰信号将致使测量系统显示值不稳,宜采用适当点数的滑动平均法来对数据进行平均处理,可以有效消除干扰的影响。例如,对N个非平稳数据,将每m个相邻数据的区间内视为平稳的,即其平均值接近于常量。于是可取每m个相邻数据的平均值,来表示该m个数据中任一个的取值,并视其为抑制了数据波动的稳定的测量结果。
  除了滤除干扰信号的影响之外,对于某些规律波动的被测参量,采用滑动平均有助于获得稳定的读数,WP4000变频功率分析仪为了满足各种情况下的稳定读数,采取了滑动平均、指数平均、智能平均等方法。关于各种平均模式的不同计算方式,WP4000变频功率分析仪的在线帮助中有详细描述。

滑动平均、指数平均、智能平均等在WP4000变频功率分析仪中的应用

滑动平均、指数平均、智能平均等在WP4000变频功率分析仪中的应用

上一篇:变极调速

下一篇:模拟信号

Copyright 2010-2017 www.vfe.ac.cn, All Rights Reserved 湖南银河电气有限公司 版权所有 湘ICP备09002592号-5